A Testability Analysis Method for Register-Transfer Level Descriptions

نویسندگان

  • Mizuki TAKAHASHI
  • Ryoji SAKURAI
  • Hiroaki NODA
  • Takashi KAMBE
چکیده

| In this paper, we propose a new testability analysis method for Register-Transfer Level(RTL) descriptions. The proposed method is based on the idea of testability analysis in terms of dataow and control structure which can be extracted from RTL designs. We analyze testability of RTL descriptions with more testability measures than those of conventional gate-level testability, so that the method provides information for design for testability(DFT). We have implemented the presented method and experimental results show that we can reduce circuit cost for test and achieve highly testable circuits by DFT using our RTL testability analysis.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Virta: Virtual Port Based Register-transfer Level Testability Analysis and Improvements

The work deals with testability analysis of data-path within register-transfer level digital circuits and with utilizing its results in selected areas in digital circuit diagnostics area. In the work, it is shown that it is advantageous if each module stored in a design library is equipped both with design-related information and special diagnosticsrelated information usable for testability-ana...

متن کامل

A testability analysis for driving architectural synthesis

In this paper, we present a method for analyzing the testability of a circuit during the design process. Given a circuit specification, whatever the level of description from the behavioral level (initial specification) down to the Register Transfer Level (High Level Synthesis process result), the testability analysis returns values which represent the relative difficulty for computing input se...

متن کامل

New Method of Testability Calculation to Guide RT-Level Test Generation

There have been a number of approaches to high-level testability proposed over the recent years. The known methods have been implemented in test synthesis and in guiding gate-level test generation. However, works on application of testability measures to guide high-level test generation are missing. The main aim of this paper is to fill this gap. We propose a new method for calculating testabil...

متن کامل

Testability-driven High-level Synthesis

This paper describes a new approach to integrate testability consideration into high-level synthesis. The approach is based on an iterative technique for high-level synthesis which utilizes a sequence of design-improvement transformations to generate a register-transfer level design from a VHDL behavioral specification. A testability analysis algorithm is used to analyze the intermediate result...

متن کامل

Detection of Stuck at Fault Indigital Circuits at Register Transfer Logic (RTL)

Due to the increasing complexity of modern circuit design, verification has become the major bottleneck of the entire design process. Most efforts are to verify the correctness of the initial Register-Transfer Level (RTL) descriptions written in Hardware Description Language (HDL).Major drawback of high level design methodologies such as RTL can be seen in the following facts. First, they lack ...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 1996